Challenges of Scalable 2.5D IC Assembly

2015 ◽  
Vol 12 (3) ◽  
pp. 123-128
Author(s):  
Liang Wang ◽  
Charles G. Woychik ◽  
Guilian Gao ◽  
Grant Villavicencio ◽  
Scott McGrath ◽  
...  

Driven by key metrics, including higher computing performance, lower power consumption, smaller form factor, increased bandwidth, and reduced latency (interconnect delay), the semiconductor interconnect technology is transitioning to 2.5D and gaining acceptance in the industry, as an increasing number of products are beginning to enter volume manufacturing. To transition from today's low volumes to high volume manufacturing (HVM), the concerns of warpage control, thermal dissipation, cost (yield and throughput), and overall technology scalability for future generations need to be addressed rapidly. The solutions in these relatively new packaging technologies encompass design/layout, material, process, and integration choices. With these concerns as a backdrop, our article will discuss our approach to optimizing 2.5D assembly for HVM. This article starts with a review of our test vehicle and our overall choices of substrate, interposer, and die dimensions. Three different 2.5D assembly approaches that have been investigated for warpage control, ease of process, and impact on yield and reliability will be discussed in detail. It is our finding that for achieving high yield and reliability, in the design stage of the system detailed considerations must be given to not only the electrical performance and signal integrity but also the thermal and mechanical behavior of the system in operation as well as the entire process history. This article reports our results from critical areas including temporary bonding, thermocompression bonding, mass reflow, thin wafer/die handling, flux, underfill, and molding. This article also presents our understanding of the underlying principles governing the technology bottlenecks in advanced packaging and the three flows will be compared with an assessment of their advantages and disadvantages. In the last portion of this article, recommendations are made for an optimized assembly process flow.

2014 ◽  
Vol 2014 (1) ◽  
pp. 000606-000611 ◽  
Author(s):  
Liang Wang ◽  
Charles G. Woychik ◽  
Guilian Gao ◽  
Scott McGrath ◽  
Hong Shen ◽  
...  

2.5D technology is gaining acceptance in the industry and an increasing number of products are beginning to enter volume manufacturing. As with all interconnect technologies, the key metrics driving the transition include higher computing performance, lower power consumption, smaller form factor, increased bandwidth and reduced latency (interconnect delay). In order to transition from today's low volumes to High Volume Manufacturing (HVM), the concerns of warpage control, thermal dissipation, cost (yield and throughput) and overall technology scalability for future generations need to be addressed rapidly. The solutions in these relatively new packaging technologies encompass design/layout, material, process and integration choices. With these concerns as a backdrop, our paper will discuss our approach to optimizing 2.5D assembly for HVM. We will begin with a review of our test vehicle and our overall choices of substrate, interposer and die dimensions. Three different 2.5D assembly approaches that have been investigated at Invensas for warpage control, ease of process, and impact on yield and reliability will be discussed in detail. We will present our results from critical areas including temporary bonding, thermo-compression bonding, mass reflow, thin wafer/die handling, flux, underfill and molding. This paper will present our understanding of the underlying principles governing the technology bottlenecks in advanced packaging and the three flows will be compared with an assessment of their advantages and disadvantages. In addition, we will also provide the results of our cost modeling work. We will finish by making recommendations for an optimized assembly process flow.


2016 ◽  
Vol 2016 (1) ◽  
pp. 000321-000325
Author(s):  
Bob Chylak ◽  
Horst Clauberg ◽  
Tom Strothmann

Abstract Device packaging is undergoing a proliferation of assembly options within the ever-expanding category of Advanced Packaging. Fan Out-Wafer Level Packages are achieving wide adoption based on improved performance and reduced package size and new System in Package products are coming to market in FOWLP, 2.5D and 3D package formats with the full capability to leverage heterogeneous integration in small package profiles. While the wide-spread adoption of thermocompression bonding and 2.5D packages predicted several years ago has not materialized to the extent predicted, advanced memory modules assembled by TCB are in high volume manufacturing, as are some high-end GPUs with integrated memory on Si interposer. High accuracy flip chip has been pushed to fine pitches that were difficult to imagine only three years ago and innovation in substrates and bonder technology is pushing the throughput and pitch capability even further. The packaging landscape, once dominated by a few large assembly providers, now includes turn-key packaging initiatives from the foundries with an expanding set of fan-out packing options. The fan-out processes include face-up and face-down methods, die first and die last methods and 2.5D or 3D package options. Selection of the most appropriate packaging technology from the combined aspects of electrical performance, form-factor, yield and cost presents a complex problem with considerable uncertainty and high risk for capital investment. To address this problem, the industry demands flexible manufacturing solutions that can be modified and upgraded to accommodate a changing assembly environment. This presentation will present the assembly process flows for various packaging options and discuss the key aspects of the process that influence throughput, accuracy and other key quality metrics, such as package warpage. These process flows in turn impose design constraints on submodules of the bonder. It will be shown that thoughtfully designed machine architecture allows for interchangeable and upgradeable submodules that can support nearly the entire range of assembly options. As an example, a nimble, low weight, medium force, constant heat bondhead for high throughput FOWLP can be interchanged with a high force, pulse heater bondhead to support low stress/low warpage thermocompression bonding. The various configuration options for a flexible advanced packaging bonder will be reviewed along with the impact of configuration changes on throughput and accuracy.


2019 ◽  
Vol 2019 (1) ◽  
pp. 000115-000119 ◽  
Author(s):  
Andy Mackie ◽  
Hyoryoon Jo ◽  
Sze Pei Lim

Abstract Flip-chip assembly accounts for more than 80% of the advanced packaging technology platform, compared to fan-in, fan-out, embedded die, and through silicon via (TSV). Flip-chip interconnect remains a critical assembly process for large die used in artificial intelligence processors; thin die that warps at elevated temperatures; heterogeneous integration in SiP applications; flip-chip on leadframe; and MicroLED die usage. This paper will first outline trends in evolving flip-chip and direct chip placement (DCP) technology, then will examine the changing nature of the solder bump, the interconnect itself, and the substrate. Many variables of the flip-chip assembly process will be discussed, including standard solder bumps to micro Cu-pillar bumps with different alloys; different pad surface finishes of Cu OSP, NiAu, and solder on pad (SOP); and from regular pads on substrates to bond-on-trace applications. A major focus will be on flip-chip assembly methods, from old C4 conventional reflow processing to thermocompression bonding (TCB), and the latest laser assisted bonding (LAB) technology, with an emphasis on how the usage of different technologies necessitates different assembly materials, especially fluxes. Flip-chip fluxes such as the commonly used water-washable flux, the standard no-clean flux, and the ultra-low residue flux, and how these fluxes react to different processing methods, will be an area of discussion. Finally, the paper will examine the need for increased reliability as the technology inevitably moves into the high-volume, zero-defect arena of automotive electronics.


Author(s):  
Laura Mauer ◽  
John Taddei ◽  
Scott Kroeger

Driven largely by the growing need for more data, increased functionality, and faster speeds, consumer electronic devices have sparked a revolution in IC design. As it becomes increasingly more expensive and technically challenging to scale down semiconductor devices, Moore's law is yielding to the concept of “More than Moore”, which is driving integrated functionality in smaller and thinner packages. Packaging for 2.5D and 3D has become critical to new products requiring higher performance and increased functionality in a smaller package. The use of a Through Silicon Via (TSV) has been discussed as a method for stacking die to achieve a vertical interconnect. The high costs associated with this technology have limited TSV use to a few applications such as high-bandwidth memory and logic, slowing its adoption within the industry. Lower-cost advanced packaging concepts have been developed and are now in high-volume production. Recently, alternative methods for exploiting the z-direction have turned to variations of Fan-Out Wafer Level Packaging (FOWLP), which do not include TSVs. In many of these concepts there is a need to thin the wafer to remove all of the silicon while being selective and not etching a variety of other films that include oxides, nitrides, and metals. In addition, there can be temporary bonding adhesives and mold compounds encapsulating the chips; these must remain undamaged. Another critical element of a successful process is the ability to control the profile of the silicon etch to provide uniform removal. The single wafer wet etching techniques and advanced process control developed for TSV Reveal are applicable to these structures and provide a low-cost alternative to CMP and Plasma processes. To successfully execute the process, several characteristics must be met: the silicon overburden depth and profile need to be determined, the overburden thinning etch needs a fast sculpting etchant, and the finishing etchant needs to be selective to materials that will be exposed at the completion of the etch. In addition, the tool used to perform this sequence needs to have the correct metrology capability, along with properly chosen etchants. Similarly, it is not sufficient to know the required etch profile, the software must be able to execute a unique etch profile for each wafer. In this fashion, the finishing etch time can be kept to a minimum. This is important, as many of the selective etchants have a slow etch rate, and adhesives used do not always hold up to exposure to the chemistries involved for long periods. This paper discusses the use of wet etch wafer thinning processes for new FOWLP applications.


2016 ◽  
Vol 2016 (1) ◽  
pp. 000402-000405
Author(s):  
Kay S Essig ◽  
CT Chiu ◽  
Jarris Kuo ◽  
Phidia Chen ◽  
Jean-Marc Yannou

Abstract The development in communication handheld devices has pushed the demand for packages with high level of functionality and complexity at the same time smaller package outline and decreased package thickness. Embedding active dies and/or passive components into the substrate is fulfilling these integration requirements, but embedding can have further beneficial effects (electrical performance, thermal dissipation, shielding) that deliver more benefit for embedding (1). Whereas embedding dies in substrates seems a simple concept, it can come with strong advantages as found in the described case for Power Modules in terms of electrical performance and thermal dissipation. In this paper we shall report the development of embedded technologies for Power Modules and compare electrical performance, thermal dissipation and reliability results with other Power Module package types. We shall report on an intelligent power module for server applications up to 1.5kW consisting of a driver IC and 2 MOSFETs using embedded die in substrate technology in high volume manufacturing. We will describe the development of next generation embedding technologies for Power Modules, their expected benefits and respective application targets together with simulation results. We conclude with a brief overview of the challenges that come with embedded packaging supply chain.


2014 ◽  
Vol 2014 (DPC) ◽  
pp. 001536-001552
Author(s):  
Russ Dudley ◽  
Matt Wilson ◽  
Rajiv Roy

Interconnects for Advanced Packaging are getting smaller and come in a variety of sizes, shapes, and materials. The height, diameter, shape, and the absence/presence of these interconnects are critical and must be monitored across the device and wafer to ensure reliable connections during the bonding process. Solder bump technologies have been utilized in the past, but cannot support the high density interconnects that are required. New interconnect technologies being utilized for wafer level packaging (WLP) and through silicon via (TSV) packages include copper pillar posts and TSV posts. These new interconnect technologies provide higher density, improved reliability, and better electrical performance. This paper will highlight the critical metrology and inspection requirements for these new interconnect technologies and demonstrate the capability of a single platform to support these new interconnects for high volume manufacturing (HVM). The single platform includes 3D metrology performed using a proprietary interferometric sensor technology that can measure the height of the post and the thickness of the surrounding polymer at the same time to optimize the measurement performance and system throughput. The platform also provides the ability to inspect for surface defects, irregular posts, missing posts, and a variety of other inspections typically performed on bumped wafers or substrates. Both the metrology and inspection results from the single platform are output to a proprietary analysis package using industry standard Rudolph Result Files (RRF). The analysis will demonstrate the value these results provide for process control and the defect analysis, ultimately leading to improved yields and equipment utilization.


2021 ◽  
Vol ahead-of-print (ahead-of-print) ◽  
Author(s):  
Prabod Dharshana Munasinghe ◽  
D.G.K. Dissanayake ◽  
Angela Druckman

Purpose The process of fashion design varies between market segments, yet these variations have not yet been properly explored. This study aims to examine the fashion design process as practised at the mass-market level, as this is the most vibrant and the largest market segment in terms of production volumes and sales. Design/methodology/approach It is observed that 15 semi-structured interviews were conducted with mass-market fashion designers. Key activities of the mass-market design process were identified and a comparative analysis was conducted with the general design process. Findings The mass-market design process is found to prioritise profits rather than aesthetic aspects, with the buyer exercising more power than the designer. This hinders creativity, which, in turn, may impede a move towards more environmentally benign designs. Originality/value The clothing industry is responsible for high environmental impacts and many of these impacts arise through decisions made in the design stage. In particular, the mass-market for clothing because of its high volume of sales and fast throughput, accounts for a great deal of the impact. However, little is understood about the design process that is practised in the mass-fashion market. This paper fills the gap by developing a framework that describes the mass-market design process. Understanding the design process will enable progress to be made towards achieving the United Nations Sustainable Development Goal 12: Responsible Consumption and Production.


Author(s):  
Rafael Vargas-Bernal

Electrical interconnects are essential elements to transmit electrical current and/or to apply electrical voltage to the electronic devices found in an integrated circuit. With the introduction of carbon nanotubes in electronic applications, efficient and high-speed interconnects have allowed for optimizing the electrical performance of the integrated circuits. Additionally, technical problems, such as electromigration, large values of parasitic elements, large delays, and high thermal dissipation, presented in metallic interconnects based on copper, can be avoided. This chapter presents a performance analysis of interconnects used in AMS/RF IC design based on carbon nanotubes as the physical material where electrical variables are provided.


Author(s):  
Daniel Clarke-Hagan ◽  
Michael Curran ◽  
John Spillane ◽  
Mary-Catherine Greene

The calculations of life cycle costs (LCC) and whole life costs (WLC) are important tools in the life cycle of a project. The aim of this research is to examine life cycle costing, whole life costing, and the possible advantages and disadvantages to their introduction and use. A qualitative methodology encompassing an in-depth literature review, interviews, and qualitative analysis using mind mapping software, this research is important as it can add to the industry's understanding of the design process. It highlights reasons for the success or failure of a construction project, in terms of sustainability at the design stage. Results indicate that the researched topics had many advantages but also had inherent disadvantages. It is found that the potential advantages outweighed disadvantages, but uptake within industry is still slow and that better promotion and their benefits to sustainability, the environment, society, and the industry are required.


Author(s):  
Kevin Moody ◽  
Nick Stukan

In this paper will focus on the comprehension of System-in-Package (SiP) with embedded active and passive components integration will be described. Embedding of semiconductor chips into substrates provides many advantages that have been noted. It allows the smallest package form-factor with high degree of miniaturization through sequentially stacking of multiple layers containing embedded devices that are optimized for electrical performance with short and geometrically well controlled copper interconnects. In addition, the embedding gives a homogeneous mechanical environment of the chips, resulting in good reliability at system level. Furthermore, embedded technology is an excellent resolution to Power management challenges dealing with new device technologies (Si, GaS, GaN) and optimization on the thermal dissipation with improved efficiency. Embedded technology comes with many challenges in 2019, primarily design for manufacturability (DFM) and maturity. Customers are looking for better-performance capability and pricing normally that means same or lower than die free package cost (DFPC) comparison. This paper will discuss the challenges bring to market the Embedded SIP Modules for next-GEN Heterogeneous “POWER-Devices” Today, the embedded process is being developed by printed circuit board (PCB) manufacturers creating a new supply chain, bringing new players into the semiconductor industry. This new supply chain comes along with new business models. As a result of the increasing interest in implementing embedding technologies, ACCESS Semiconductors in China is committed to be a leader in the adaptation of embedding technologies, with over 10-yrs mature coreless technology and proved design rules for low profile dimensions with seamless Ti/Cu sputtering and Cu pillar interconnect giving advantages in both electrical & power performance. ACCESS Patented “Via-in-Frame” technology provides High Reliability (MSL1, PCT, BHAST) at Cost Effective in high panel utilization for HVM, using standard substrate/PCB known material sets, no need for wafer bumping/RDL, over-mold or under-fill cost adders. ACCESS Semiconductors is currently in HVM on single die 2L, and LVM on multi-devices actives/passives 4L SiP construction both platforms are driven from the power market segment. In-development on Die Last & Frameless (MeSiP) platforms utilizing hybrid technology (mSAP) and Photo Imageable Dielectric (PID) materials for cost down solutions in HVM by Q1FY2020. Also, ACCESS Semiconductors total turn-key solutions will include front-of-line (FOL) and end-of-line (EOL) capability from wafer handling, back-grinding, and dicing with KGD traceability thru the embedded chip process, frame/strip singulation, FT, marking pack & ship providing additional 30% cost reduction in the future. Here's an illustration of Embedded Technology Roadmap and Product Platforms.


Sign in / Sign up

Export Citation Format

Share Document