New Approach on Quantification of Porosity of Thin Films via Electron-Excited X-ray Spectra

2016 ◽  
Vol 88 (14) ◽  
pp. 7083-7090 ◽  
Author(s):  
Erik Ortel ◽  
Andreas Hertwig ◽  
Dirk Berger ◽  
Pasquale Esposito ◽  
Andrea M. Rossi ◽  
...  
Keyword(s):  
2014 ◽  
Vol 47 (1) ◽  
pp. 402-413 ◽  
Author(s):  
Ping Yang ◽  
Huajun Liu ◽  
Zuhuang Chen ◽  
Lang Chen ◽  
John Wang

A new approach, based on reciprocal-space vectors (RSVs), is developed to determine Bravais lattice types and accurate lattice parameters of epitaxial thin films by high-resolution X-ray diffractometry. The lattice parameters of single-crystal substrates are employed as references to correct the systematic experimental errors of RSVs of thin films. The general procedure is summarized, involving correction of RSVs, derivation of the raw unit cell, and subsequent conversion to the Niggli unit cell and the Bravais unit cell by matrix calculation. Two methods of this procedure are described: in three-dimensional reciprocal space and in six-dimensionalG6space. The estimation of standard error in the lattice parameters derived by this new approach is discussed. The whole approach is illustrated by examples of experimental data. The error of the best result is 0.0006 Å for the lattice parameter of indium tin oxide film. This new RSV method provides a practical and concise route to the crystal structure study of epitaxial thin films and could also be applied to the investigation of surface and interface structures.


Materials ◽  
2020 ◽  
Vol 13 (17) ◽  
pp. 3859 ◽  
Author(s):  
Kyriakos Mouratis ◽  
Valentin Tudose ◽  
Cosmin Romanitan ◽  
Cristina Pachiu ◽  
Oana Tutunaru ◽  
...  

A new approach regarding the development of nanostructured V2O5 electrochromic thin films at low temperature (250 °C), using air-carrier spray deposition and ammonium metavanadate in water as precursor is presented. The obtained V2O5 films were characterized by X-ray diffraction, scanning electron microscopy and Raman spectroscopy, while their electrochromic response was studied using UV-vis absorption spectroscopy and cyclic voltammetry. The study showed that this simple, cost effective, suitable for large area deposition method can lead to V2O5 films with large active surface for electrochromic applications.


2021 ◽  
Author(s):  
Ghada El Jamal ◽  
Thomas Gouder ◽  
Rachel Eloirdi ◽  
Mats Jonsson

We report surface characteristics of UO2, U2O5 and UO3 thin films after exposure to gas plasmas: a new approach of the oxidative dissolution problem.


1999 ◽  
Vol 14 (2) ◽  
pp. 436-441 ◽  
Author(s):  
S. Logothetidis ◽  
E. I. Meletis ◽  
G. Kourouklis

In situ and ex situ spectroscopic ellipsometry (SE), Raman spectroscopy (RS), x-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES) have been used to study the stoichiometry and characterize TiNx thin films deposited by magnetron sputtering at various stoichiometries. In situ SE can provide parameters, such as the plasma energy, that can be utilized for monitoring of the film stoichiometry. Besides plasma energy, optical phonon position in RS was also found to be a sensitive probe of TiNx stoichiometry as detected by RS, XPS, and ex situ SE. Under these conditions, AES faces difficulties for reliable film characterization, and the complementary use of other techniques is required for determining the exact film stoichiometry.


2015 ◽  
Vol 82 ◽  
pp. 612-622 ◽  
Author(s):  
Yuri Babanov ◽  
Yuri Salamatov ◽  
Vladimir Vasin ◽  
Vladimir Ustinov

Author(s):  
R. M. Anderson

Aluminum-copper-silicon thin films have been considered as an interconnection metallurgy for integrated circuit applications. Various schemes have been proposed to incorporate small percent-ages of silicon into films that typically contain two to five percent copper. We undertook a study of the total effect of silicon on the aluminum copper film as revealed by transmission electron microscopy, scanning electron microscopy, x-ray diffraction and ion microprobe techniques as a function of the various deposition methods.X-ray investigations noted a change in solid solution concentration as a function of Si content before and after heat-treatment. The amount of solid solution in the Al increased with heat-treatment for films with ≥2% silicon and decreased for films <2% silicon.


Author(s):  
C. W. Price ◽  
E. F. Lindsey

Thickness measurements of thin films are performed by both energy-dispersive x-ray spectroscopy (EDS) and x-ray fluorescence (XRF). XRF can measure thicker films than EDS, and XRF measurements also have somewhat greater precision than EDS measurements. However, small components with curved or irregular shapes that are used for various applications in the the Inertial Confinement Fusion program at LLNL present geometrical problems that are not conducive to XRF analyses but may have only a minimal effect on EDS analyses. This work describes the development of an EDS technique to measure the thickness of electroless nickel deposits on gold substrates. Although elaborate correction techniques have been developed for thin-film measurements by x-ray analysis, the thickness of electroless nickel films can be dependent on the plating bath used. Therefore, standard calibration curves were established by correlating EDS data with thickness measurements that were obtained by contact profilometry.


Author(s):  
D. R. Liu ◽  
S. S. Shinozaki ◽  
R. J. Baird

The epitaxially grown (GaAs)Ge thin film has been arousing much interest because it is one of metastable alloys of III-V compound semiconductors with germanium and a possible candidate in optoelectronic applications. It is important to be able to accurately determine the composition of the film, particularly whether or not the GaAs component is in stoichiometry, but x-ray energy dispersive analysis (EDS) cannot meet this need. The thickness of the film is usually about 0.5-1.5 μm. If Kα peaks are used for quantification, the accelerating voltage must be more than 10 kV in order for these peaks to be excited. Under this voltage, the generation depth of x-ray photons approaches 1 μm, as evidenced by a Monte Carlo simulation and actual x-ray intensity measurement as discussed below. If a lower voltage is used to reduce the generation depth, their L peaks have to be used. But these L peaks actually are merged as one big hump simply because the atomic numbers of these three elements are relatively small and close together, and the EDS energy resolution is limited.


Author(s):  
D J H Cockayne ◽  
D R McKenzie

The study of amorphous and polycrystalline materials by obtaining radial density functions G(r) from X-ray or neutron diffraction patterns is a well-developed technique. We have developed a method for carrying out the same technique using electron diffraction in a standard TEM. It has the advantage that studies can be made of thin films, and on regions of specimen too small for X-ray and neutron studies. As well, it can be used to obtain nearest neighbour distances and coordination numbers from the same region of specimen from which HREM, EDS and EELS data is obtained.The reduction of the scattered intensity I(s) (s = 2sinθ/λ ) to the radial density function, G(r), assumes single and elastic scattering. For good resolution in r, data must be collected to high s. Previous work in this field includes pioneering experiments by Grigson and by Graczyk and Moss. In our work, the electron diffraction pattern from an amorphous or polycrystalline thin film is scanned across the entrance aperture to a PEELS fitted to a conventional TEM, using a ramp applied to the post specimen scan coils. The elastically scattered intensity I(s) is obtained by selecting the elastically scattered electrons with the PEELS, and collecting directly into the MCA. Figure 1 shows examples of I(s) collected from two thin ZrN films, one polycrystalline and one amorphous, prepared by evaporation while under nitrogen ion bombardment.


Author(s):  
F. Ma ◽  
S. Vivekanand ◽  
K. Barmak ◽  
C. Michaelsen

Solid state reactions in sputter-deposited Nb/Al multilayer thin films have been studied by transmission and analytical electron microscopy (TEM/AEM), differential scanning calorimetry (DSC) and X-ray diffraction (XRD). The Nb/Al multilayer thin films for TEM studies were sputter-deposited on (1102)sapphire substrates. The periodicity of the films is in the range 10-500 nm. The overall composition of the films are 1/3, 2/1, and 3/1 Nb/Al, corresponding to the stoichiometric composition of the three intermetallic phases in this system.Figure 1 is a TEM micrograph of an as-deposited film with periodicity A = dA1 + dNb = 72 nm, where d's are layer thicknesses. The polycrystalline nature of the Al and Nb layers with their columnar grain structure is evident in the figure. Both Nb and Al layers exhibit crystallographic texture, with the electron diffraction pattern for this film showing stronger diffraction spots in the direction normal to the multilayer. The X-ray diffraction patterns of all films are dominated by the Al(l 11) and Nb(l 10) peaks and show a merging of these two peaks with decreasing periodicity.


Sign in / Sign up

Export Citation Format

Share Document