Thermal stability and structural evolution of low-K Fluorinated amorphous carbon during thermal annealing

1998 ◽  
Vol 511 ◽  
Author(s):  
Hongning Yang ◽  
Douglas J. Tweet ◽  
Yanjun Ma ◽  
Tue Nguyen ◽  
David R. Evans ◽  
...  

ABSTRACTHighly crosslinked a-F:C films can undergo a significant change after thermal annealing, where the film expands by ∼3%, the density reduces by ∼10% and the internal stress changes from compressive to tensile. The loss of fluorine concentration and the reduction of CF. are accompanied by the transition of (C-C, sp3) to (C=C, sp2) groups. After annealing, the dielectric constant is reduced and the leakage current increases slightly. Most importantly, these changes occur only at the initial stage of annealing. After the initial annealing, the a-F:C film tends to be thermally stable and retains reasonably good electrical properties as a low-k interlayer dielectric. The profound impact of these results on Cu/a-F:C integration will be briefly discussed.

2000 ◽  
Vol 612 ◽  
Author(s):  
Eugene S. Lopata ◽  
Lydia Young ◽  
John T. Felts

AbstractA plasma deposited SiOC very low k (VLK) interlayer dielectric (ILD) film has been developed which can be tuned to 2.5 = k = 3.0, demonstrates very good thermal stability, excellent adhesion properties, acceptable hardness, and an indication that it may be extendible to k < 2.5. This paper will disclose properties of this SiOC film which are important to a VLK ILD application.


1996 ◽  
Vol 443 ◽  
Author(s):  
A. Grill ◽  
V. Patel ◽  
K.L. Saenger ◽  
C. Jahnes ◽  
S.A. Cohen ◽  
...  

AbstractA variety of diamondlike carbon (DLC) materials were investigated for their potential applications as low-k dielectrics for the back end of the line (BEOL) interconnect structures in ULSI circuits. Hydrogenated DLC and fluorine containing DLC (FDLC) were studied as a low-k interlevel and intralevel dielectrics (ILD), while silicon containing DLC (SiDLC) was studied as a potential low-k etch stop material between adjacent DLC based ILD layers, which can be patterned by oxygen-based plasma etchingIt was found that the dielectric constant (k) of the DLC films can be varied between >3.3 and 2.7 by changing the deposition conditions. The thermal stability of these DLC films was found to be correlated to the values of the dielectric constant, decreasing with decreasing k. While DLC films having dielectric constants k>3.3 appeared to be stable to anneals of 4 hours at 400 °C in He, a film having a dielectric constant of 2.7 was not, losing more than half of its thickness upon exposure to the same anneal. The stresses in the DLC films were found to decrease with decreasing dielectric constant, from 700 MPa to about 250 MPa. FDLC films characterized by a dielectric constant of about 2.8 were found to have similar thermal stability as DLC films with k >3.3. The thermally stable FDLC films have internal stresses <300 MPa and are thus promising candidates as a low-k ILD.For the range of Si contents examined (0-9% C replacement by Si), SiDLC films with a Si content of around 5% appear to provide an effective etch-stop for oxygen RIE of DLC or FDLC films, while retaining desirable electrical characteristics. These films showed a steady state DLC/SiDLC etch rate ratio of about 17, and a dielectric constant only about 30% higher than the 3.3 of DLC.


2003 ◽  
Vol 766 ◽  
Author(s):  
R.F. Reidy ◽  
Zhengping Zhang ◽  
R.A. Orozco-Teran ◽  
B.P. Gorman ◽  
D.W. Mueller

AbstractFuture interlayer dielectric (ILD) requirements necessitate reductions in dielectric constant to 2.1 within four years. Due to gaseous-like transport properties and near liquid-like densities, supercritical methods have been developed to dry and strip resist from these highly porous materials. Although a non-polar molecule, the solvating capability of supercritical CO2 (SCCO2) can be tailored by varying pressure, temperature, and co-solvents. This flexibility has been employed to remove photoresist and moisture from porous low-k films. The results of these experiments have been characterized using FTIR, ellipsometry, and SEM.


Materials ◽  
2021 ◽  
Vol 14 (17) ◽  
pp. 4827
Author(s):  
Nianmin Hong ◽  
Yinong Zhang ◽  
Quan Sun ◽  
Wenjie Fan ◽  
Menglu Li ◽  
...  

Since the application of silicon materials in electronic devices in the 1950s, microprocessors are continuously getting smaller, faster, smarter, and larger in data storage capacity. One important factor that makes progress possible is decreasing the dielectric constant of the insulating layer within the integrated circuit (IC). Nevertheless, the evolution of interlayer dielectrics (ILDs) is not driven by a single factor. At first, the objective was to reduce the dielectric constant (k). Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Moving from silicon dioxide, silsesquioxane-based materials, and silica-based materials to porous silica materials, the industry has been able to reduce the ILDs’ dielectric constant from 4.5 to as low as 1.5. However, porous ILDs are mechanically weak, thermally unstable, and poorly compatible with other materials, which gives them the tendency to absorb chemicals, moisture, etc. All these features create many challenges for the integration of IC during the dual-damascene process, with plasma-induced damage (PID) being the most devastating one. Since the discovery of porous materials, the industry has shifted its focus from decreasing ILDs’ dielectric constant to overcoming these integration challenges. More supplementary precursors (such as Si-C-Si structured compounds), deposition processes (such as NH3 plasma treatment), and post porosity plasma protection treatment (P4) were invented to solve integration-related challenges. Herein, we present the evolution of interlayer dielectric materials driven by the following three aspects, classification of dielectric materials, deposition methods, and key issues encountered and solved during the integration phase. We aim to provide a brief overview of the development of low-k dielectric materials over the past few decades.


2002 ◽  
Vol 716 ◽  
Author(s):  
Y.F. Chow ◽  
T.H. Foo ◽  
L. Shen ◽  
J.S. Pan ◽  
A.Y. Du ◽  
...  

AbstractThe thermal stability of organic porous low k, porous SiLK with a dielectric constant of 2.4, has been studied. Organic low k material SiLKTM, non-porous SiLK, with a dielectric constant 2.8 is used as a baseline for comparison. Each sample was subjected to annealing cycles, where each cycle was conducted in a vertical furnace for one hour in an N2 ambient. The annealing temperature was set at either 430°C or 450°C. After every alternate cycle, the film properties were measured and compared to the unannealed sample for changes in film shrinkage, refractive index, dielectric constant, roughness, breakdown voltage, pore size, hardness and Young's modulus. Changes in film properties were investigated and evaluated by using opti-probe, FTIR, XPS, AFM, mercury probe, nano-indentation, SEM and TEM techniques.


2011 ◽  
Vol 110-116 ◽  
pp. 5380-5383
Author(s):  
Tejas R. Naik ◽  
Veena R. Naik ◽  
Nisha P. Sarwade

Scaling down the integrated circuits has resulted in the arousal of number of problems like interaction between interconnect, crosstalk, time delay etc. These problems can be overcome by new designs and by use of corresponding novel materials, which may be a solution to these problems. In the present paper we try to put forward very recent development in the use of novel materials as interlayer dielectrics (ILDs) having low dielectric constant (k) for CMOS interconnects. The materials presented here are porous and hybrid organo-inorganic new generation interlayer dielectric materials possessing low dielectric constant and better processing properties.


2021 ◽  
Author(s):  
Yudi Feng ◽  
Ke Jin ◽  
Jia Guo ◽  
Changchun Wang

The development of modern microelectronic industry calls for low permittivity interlayer dielectric materials with excellent thermal stabilities, robust mechanical strength and matching processability. Traditionally, it is difficult to fabricate materials...


2000 ◽  
Vol 612 ◽  
Author(s):  
E. O. Shaffer ◽  
K. E. Howard ◽  
M. E. Mills ◽  
P.H. Townsend

AbstractAdherence to the prescript of Moore's law continues to drive materials development for new and lower dielectric constant materials for use as back-end-of-line (BEOL) interlayer dielectric in advanced logic IC's. As is the case for the current generation of low-K materials (<3.0), these ultra-low K materials (<2.2) will need to meet the variety of integration and reliability requirements for successful product development. Excluding the incorporation of fluorine to lower the material polarity, further reductions of dielectric constant can only be achieved by reduced density. Based upon the industry's experience with the current class of full density dielectrics, process integration may be challenging for ultra-low K materials. This anticipated difficulty derives from the profound differences in material properties, e.g. mechanical integrity, as one lowers the material density, which in turn confounds existing manufacturing processes that have evolved over 35 years based on silicon dioxide.Minimizing these material and processing differences by extending leveraged learning from previous technology nodes is essential for timely and cost-efficient development cycles. As a result, material selection of a full density low-K is somewhat influenced by the ability of that material to be extended into future generations. Understanding how the material properties will change as its density is lowered is vital to this selection process. In this paper, we present a summary of models for calculating effective properties as a function of density and apply these to current low-K materials with emphasis on mechanical integrity. We will also review experimental methods for measuring the mechanical integrity of ultra-low K materials and compare the results to the various models described herein.


1997 ◽  
Vol 476 ◽  
Author(s):  
John Pellerin ◽  
Robert Fox ◽  
Huei-Min Ho

AbstractThis paper presents the results of development, characterization and integration screening of low dielectric constant (low k) fluorinated polyimides for interlayer dielectric applications. Evolution of these materials has progressed with the intent of improving fundamental thin film properties, such as thermal stress behavior, modulus, CTE, and dielectric constant. Further refinements to fluorinated polyimides have been to improve their process compatibility and integration characteristics, primarily in the area of deep sub-micron gap filling. The avenues taken to attain these objectives will be illustrated.Subsequent integration of low k fluorinated polyimides has been achieved for a completed single-level metal BEOL test vehicle to highlight the impacts of the film's adhesion, mechanical and thermomechanical properties. In addition, the completed fluorinated polyimide single-level metal structures have been used to characterize electrical performance in contrast to single-level metal structures with TEOS dielectric. Intralevel capacitance and leakage current have been measured with dual comb and serpentine structures. Modeling has been applied to verify dielectric constant in submicron geometries from the capacitance measurements.


1999 ◽  
Vol 565 ◽  
Author(s):  
J. P. Chang ◽  
H. W. Krautter ◽  
W. Zhu ◽  
R. L. Opila ◽  
C. S. Pai

AbstractThe thermal and chemical stability of low k fluorinated amorphous carbon (a-C:F) material, deposited by a novel co-sputtering process using both polytetrafluoroethylene (PTFE) and graphite targets was investigated. Thin films of a-C:F with fluorine concentration of 2–55% were deposited, and carbon is observed by XPS in four distinct chemical states, C-C, C-F, C-F2, C-F 3. The relative intensity of C-Fx to C-C increased in intensity with increasing fluorine content and decreasing deposition temperature. Formation of tantalum fluoride was observed upon deposition of tantalum nitride, and the defluorination of the film could lead to reliability and delamination problems.


Sign in / Sign up

Export Citation Format

Share Document