scholarly journals Pairing Laser Ablation and Xe Plasma FIB-SEM: An Approach for Precise End-Pointing in Large-Scale Physical Failure Analysis in the Semiconductor Industry

Author(s):  
Rodrigo Delgadillo Blando ◽  
Lukáš Hladík ◽  
Jozef Vincenc Oboňa ◽  
Tomáš Borůvka ◽  
Martin Burán ◽  
...  

Abstract In this work we present a large-volume workflow for fast failure analysis of microelectronic devices that combines a stand-alone ps-laser ablation tool with a SEM/Xe Plasma FIB system. In this synergy, the ps-laser is used to quickly remove large volumes of bulk material while the SEM/Xe Plasma FIB is used for precise end-pointing to the feature of interest and fine surface polishing after laser. The concept of having a stand-alone laser tool obeys the logic of maximizing productivity as both systems can work simultaneously and continuously. As application examples we first present a full workflow to prepare an artefact-free, delamination-free cross-section in an AMOLED mobile display. We also present applications examples that require cm-sized long cuts to cut through whole microelectronic devices, or removal of cubic-mm of material to prepare mm-sized cross-sections in packages. We discuss a way how to implement correlation data across the laser and FIBSEM platforms through SYNOPSYS Avalon SW allowing precise navigation to the area of interest using layout circuit overlays. We also show an example of image bitmap overlay to navigate across platforms and end-pointing.

1991 ◽  
Vol 254 ◽  
Author(s):  
John Benedict ◽  
Ron Anderson ◽  
Stanley J. Klepeis

AbstractCross sections of material specimens for TEM analysis must be produced in the shortest time possible, contain few, if any, artifacts and have a large area available for analysis. The analyst must also be able to prepare these cross sections from specified areas of complex, heterogeneous structures on a routine, reproducible basis to meet the growing needs of the semiconductor industry for TEM analysis. The specimen preparation spatial resolution required for preparing precision cross sections is substantially less than one micron. Cross sections meeting these requirements can be prepared by mounting a specimen to the Tripod Polisher and mechanically polishing on one side of the specimen, using a sequence of progressively finer grit diamond lapping films, until the area of interest is reached. This polished surface is then very briefly polished on a cloth wheel with colloidal silica to attain the final polish on that side. The specimen is then flipped over on the Tripod Polisher and polished from the other side, using same sequence of diamond lapping films to reach the predefined area of interest. The Tripod Polisher is set at a slight angle, to produce a tapered, wedge-shaped specimen, which has the area of interest at the thinnest edge of the taper. The specimen is polished with the diamond lapping films and the colloidal silica until it is 1000 Angstroms or less in thickness. The specimen is removed from the polisher and mounted on a 2 × 1mm slotted grid with M-Bond 610 epoxy. After the epoxy is cured the specimen can be taken directly to the microscope for analysis. The need for ion milling has been eliminated or reduced to a few minutes in most of our work because of the thinness of the final specimen. The total specimen preparation time is between 2.5 and 4 hours, depending on the specimen and the size of the specified area. The area available for analysis ranges from 0.5mm up to the full size of the mounting grid opening. The wedge shape of the specimen provides the mechanical stability needed for a long thin specimen.


Author(s):  
Marek Tuček ◽  
Martin Búran ◽  
Rostislav Váňa ◽  
Lukáš Hladík ◽  
Jozef Vincenc Oboňa

Abstract As the semiconductor industry demands higher throughput for failure analysis, there is a constant need to rapidly speed up the sample preparation workflows. Here we present extended capabilities of the standard Xe plasma Focused Ion Beam failure analysis workflows by implementing a standalone laser ablation tool. Time-to-sample advantages of such workflow is shown on four distinct applications: cross-sectioning of a large solder ball, cross-sectioning of a deeply buried wire bond, cross-sectioning of the device layer of an OLED display, and removing the MEMS silicon cap to access underlying structures. In all of these workflows we have shown significant decrease in required process time while altogether avoiding the disadvantages of corresponding mechanical and chemical methods.


2001 ◽  
Vol 7 (S2) ◽  
pp. 514-515 ◽  
Author(s):  
Larry Rice

Electron beam induced current (EBIC) is the common term used in the semiconductor industry for the failure analysis and yield enhancement of semiconductor devices using SEM to electrically pinpoint leakage sites. EBIC is a useful technique for locating defects in diodes, transistors, and capacitors where the scanning electron microscope beam is used to generate a signal and the sample is the detector. Often during yield enhancement efforts the failure analyst is asked to determine the mechanism for which a PC structure (which may contain as many as a few hundred thousand structures in one device) is failing tests. Blind cross sections rarely give evidence of the failure mechanism. EBIC can be used to pinpoint the bad site which is then precision cross-sectioned using the focused ion beam (FIB).When an electron beam impinges on a semiconductor such as silicon, electron-hole pairs are created when the incident beam transfers enough energy to promote an electron from the valance band to the conduction band.


Author(s):  
Zhigang Song ◽  
Pat McGinnis ◽  
Dave Albert ◽  
Greg Hornicek ◽  
Mike Tenney ◽  
...  

Abstract Failure analysis plays a very important role in semiconductor industry. Photon Emission Microscopy (PEM) has been extensively used in localization of fails in microelectronic devices. However, PEM emission site is not necessarily at the location of the defect. Thus, it has limitation for the success rate of the follow-up physical failure analysis focusing on the emission site. As semiconductor technology advanced in the 3D FinFET realm and feature size further shrank down, the invisible defects during SEM inspection are tremendously increased. It leads to the success rate further decreasing. To maintain good success rate of failure analysis for advanced 3D FinFET technology, electrical probing is necessary to be incorporated into the failure analysis flow. In this paper, first, the statistic results of PEM emission sites versus real defect locations from 102 modules of microprocessors manufactured by 14nm 3D FinFET technology was present. Then, we will present how to wisely design electrical probing plan after PEM analysis. The electrical probing plans are tailored to different scan chain and ATPG failures of microprocessors for improving failure analysis success rate without increasing too much turn-around time. Finally, two case studies have been described to demonstrate how the electrical probing results guide the follow-up physical failure analysis to find the defect.


1991 ◽  
Vol 254 ◽  
Author(s):  
David P. Basile ◽  
Ron Boylan ◽  
Brian Baker ◽  
Kathy Hayes ◽  
David Soza

AbstractIn the semiconductor industry, shrinking geometries and increasing process complexity have greatly increased the demand for TEM analysis of specific submicron regions. Until recently, samples of this nature have been difficult if not impossible to prepare. We have combined cross-sectional TEM sample preparation (XTEM) and the precise material sputtering of focussed ion beam milling (FIB) to thin samples to electron transparency. We call this sample preparation technique FIBXTEM.Three advantages of this technique are: 1) The area of interest can be analyzed in the scanning electron microscope before final thinning; 2) Any specific defect area becomes a candidate for TEM analysis, including failed sub-micron structures; and 3) Samples are generally artifact-free and of uniform thickness.Key elements of the FIBXTEM technique include precision planar polishing, unique holders for mounting and transferring samples between systems, and the FIB-induced deposition of a sacrificial protective layer over the area of interest during ion thinning.This technique extends the use of TEM analysis into new areas of semiconductor process development and failure analysis. Recent applications for materials problem solving and failure analysis are discussed.


Author(s):  
Stanley J. Klepeis ◽  
J.P. Benedict ◽  
R.M Anderson

The ability to prepare a cross-section of a specific semiconductor structure for both SEM and TEM analysis is vital in characterizing the smaller, more complex devices that are now being designed and manufactured. In the past, a unique sample was prepared for either SEM or TEM analysis of a structure. In choosing to do SEM, valuable and unique information was lost to TEM analysis. An alternative, the SEM examination of thinned TEM samples, was frequently made difficult by topographical artifacts introduced by mechanical polishing and lengthy ion-milling. Thus, the need to produce a TEM sample from a unique,cross-sectioned SEM sample has produced this sample preparation technique.The technique is divided into an SEM and a TEM sample preparation phase. The first four steps in the SEM phase: bulk reduction, cleaning, gluing and trimming produces a reinforced sample with the area of interest in the center of the sample. This sample is then mounted on a special SEM stud. The stud is inserted into an L-shaped holder and this holder is attached to the Klepeis polisher (see figs. 1 and 2). An SEM cross-section of the sample is then prepared by mechanically polishing the sample to the area of interest using the Klepeis polisher. The polished cross-section is cleaned and the SEM stud with the attached sample, is removed from the L-shaped holder. The stud is then inserted into the ion-miller and the sample is briefly milled (less than 2 minutes) on the polished side. The sample on the stud may then be carbon coated and placed in the SEM for analysis.


Author(s):  
Erick Kim ◽  
Kamjou Mansour ◽  
Gil Garteiz ◽  
Javeck Verdugo ◽  
Ryan Ross ◽  
...  

Abstract This paper presents the failure analysis on a 1.5m flex harness for a space flight instrument that exhibited two failure modes: global isolation resistances between all adjacent traces measured tens of milliohm and lower resistance on the order of 1 kiloohm was observed on several pins. It shows a novel method using a temperature controlled air stream while monitoring isolation resistance to identify a general area of interest of a low isolation resistance failure. The paper explains how isolation resistance measurements were taken and details the steps taken in both destructive and non-destructive analyses. In theory, infrared hotspot could have been completed along the length of the flex harness to locate the failure site. However, with a field of view of approximately 5 x 5 cm, this technique would have been time prohibitive.


Author(s):  
P. Schwindenhammer ◽  
H. Murray ◽  
P. Descamps ◽  
P. Poirier

Abstract Decapsulation of complex semiconductor packages for failure analysis is enhanced by laser ablation. If lasers are potentially dangerous for Integrated Circuits (IC) surface they also generate a thermal elevation of the package during the ablation process. During measurement of this temperature it was observed another and unexpected electrical phenomenon in the IC induced by laser. It is demonstrated that this new phenomenon is not thermally induced and occurs under certain ablation conditions.


Author(s):  
Michael B. Schmidt ◽  
Noor Jehan Saujauddin

Abstract Scan testing and passive voltage contrast (PVC) techniques have been widely used as failure analysis fault isolation tools. Scan diagnosis can narrow a failure to a given net and passive voltage contrast can give real-time, large-scale electronic information about a sample at various stages of deprocessing. In the highly competitive and challenging environment of today, failure analysis cycle time is very important. By combining scan FA with a much higher sensitivity passive voltage contrast technique, one can quickly find defects that have traditionally posed a great challenge.


Sign in / Sign up

Export Citation Format

Share Document