warpage deformation
Recently Published Documents


TOTAL DOCUMENTS

31
(FIVE YEARS 12)

H-INDEX

4
(FIVE YEARS 0)

2022 ◽  
Vol 355 ◽  
pp. 01029
Author(s):  
Yi Mei ◽  
Maoyuan Xue

The most common optimization method for the optimization of injection mold process parameters is range analysis, but there is often a nonlinear coupling relationship between injection molding process parameters and quality indicators. Therefore, it is difficult to find the optimal process combination in range analysis. In this article, a genetic algorithm optimized extreme learning machine network model (GA-ELM) combined with genetic algorithm (GA) was proposed to optimize the process parameters of the injection mold. Take the injection molding process parameter optimization of an electrical appliance buckle cover shell as an example. In order to find the process parameters corresponding to the minimum warpage deformation, an orthogonal experiment was designed and the results of the orthogonal experiment were analyzed. Then, the corresponding optimal process combination and the degree of influence of process parameters on the warpage deformation were obtained. At the same time, the extreme learning machine network model (GA-ELM) optimized by the genetic algorithm was used to predict the warpage deformation of the plastic part. The trained GA-ELM model can map non-linear coupling relationship between the five process parameters and the warpage deformation well. And the optimal process parameters in the trained GA-ELM network model was searched by the powerful optimization ability of genetic algorithm. Generally speaking, the warpage deformation after optimization by range analysis is reduced by 6.7% compared with the minimum warpage after optimization by orthogonal experiment. But compared to the minimum warpage deformation after orthogonal experiment optimization, the warpage deformation after GAELM-GA optimization is reduced by 22%, which is better than that of the range analysis, thus verifying the feasibility and the optimization of the optimization method. This optimization method provides a certain theoretical reference and technical support for the field involving the optimization of process parameters.


Polymers ◽  
2021 ◽  
Vol 13 (14) ◽  
pp. 2344
Author(s):  
Ruoxiang Gao ◽  
Jun Xie ◽  
Jinghui Yang ◽  
Chaojie Zhuo ◽  
Jianzhong Fu ◽  
...  

As a special engineering polymer, polyether ether ketone (PEEK) has been used widely due to its excellent mechanical properties, high thermal stability, and chemical resistance. Fused deposition modeling (FDM) is a promising process for fabricating PEEK parts. However, due to the semi-crystalline property and high melting point of PEEK, determining appropriate process parameters is important to reduce warpage deformation and improve the mechanical properties of PEEK. In this article, the influence of raster angle and infill density was determined by single factor experiment, which are the two most important parameters. The results showed that samples with 0°/90° raster angle and 50% infill density had the best comprehensive properties in terms of warpage deformation, tensile strength, and specific strength. Subsequently, based on the results above, the effects of printing speed, nozzle temperature, platform temperature, raster width, and layer thickness were analyzed by orthogonal experiment. The results indicated that platform temperature had the greatest impact on warpage deformation while printing speed and nozzle temperature were significant parameters on tensile strength. Through optimization, warpage deformation of the samples could be reduced to almost 0 and tensile strength could increase by 19.6% (from 40.56 to 48.50 MPa). This will support the development of FDM for PEEK.


Polimery ◽  
2021 ◽  
Vol 66 (5) ◽  
pp. 283-292
Author(s):  
Bo Wang ◽  
Anjiang Cai

Thin-walled plastic parts are susceptible to deformation during injection molding. Using the example of a notebook battery cover, optimization of the injection mold design and injection process parameters was performed with Moldflow software, which resulted in about 69% reduction of the deformations. Moreover, the uneven material shrinkage during the injection process has been shown to be the main cause of deformations of thin-walled plastic parts.


2021 ◽  
pp. 002199832199242
Author(s):  
Zhendong Liu ◽  
Xitao Zheng ◽  
Lixiaoyuan Gao ◽  
Leilei Yan ◽  
Guolian Song ◽  
...  

Process-induced deformation in composite laminates usually occurs during the curing process and is strongly dependent on the cure parameters. To study the influence of the cure parameters on the warpage deformation, an experimental study was carried out with different heating rates and first dwell times. The full-field process-induced deformation was captured by contactless 3 D scanning system to validate the numerical method. Second, a multi-scale thermo-viscoelastic modeling technique was employed to predict the process-induced deformation. The results showed a good agreement between the simulation and experimental deformation, which demonstrates the effectiveness of the numerical model. The influence of six different cure parameters of the vacuum bagging process on the warping deformation and residual stress were considered in the numerical study. Knee points were found on the curves depicting the influence of cure parameters on the maximum residual deformation. Within the manufacturer’s specified range, a slower heating rate of 1.5°C/min reduced the process-induced deformation by 7%, while the other parameters affected the process-induced deformation by less than 1.4%. Meanwhile, the residual stress was also reduced with a slower heating rate. Consequently, a lower heating rate is suggested to reduce both the process-induced deformation and the residual stress for thin parts or the zero-bleeding process.


Author(s):  
Zhang Xinjie

The throttle body bracket is a structure to support and fix the throttle body. Its quality not only affects the performance of the throttle body, but also affects the running performance of car. Excellent mould is important guarantee for quality of the throttle body bracket. In this paper, mould of vehicle throttle body bracket is taken as research object. Methods combining structural design, simulation analysis with optimization design are adopted. First, process and structure of the bracket are analysed. Three plate mould structure and single-impression layout are determined. Based on mould flow optimization analysis, gate type and location are selected, structural dimensions of forming parts are calculated to design the side core pulling mechanism, remoulding mechanism and cooling system. Simulation analysis for filling time, weld line location, cavitation, cooling effect and warpage deformation are carried out by CAE to realise the optimal design of mould for the throttle body bracket.


2020 ◽  
Vol 26 (10) ◽  
pp. 1751-1759
Author(s):  
Li Zhang ◽  
Linshan Ding ◽  
Saif Ullah ◽  
Tao Hu ◽  
Yangyang Xu ◽  
...  

Purpose The principle of the medial axis calculation is complicated and difficult to implement. Moreover, the accuracy is not high. Then, as the generated path has an endpoint at the boundary of the polygon, burrs may appear on the surface of the molded piece. This paper aims to improve the warpage deformation of SLM molded parts and the surface quality of molded parts, an improved mid-axis path generation algorithm is proposed. Design/methodology/approach First, the center point is calculated by the seed point growth method based on the distance transform, and the obtained medial axis has high precision and is suitable for simple polygons and complex polygons. Then, based on the extracted medial axis, a preliminary path is generated, the path is trimmed with MATLAB to remove the redundant path. Finally, a scan along the contour of the polygon is performed to improve the surface quality of the molded part. Findings The algorithm reduces the internal stress generated during the molding process by continuously changing the scanning direction of the path along the boundary curve of the scanning area, thereby reducing the amount of warpage of the molded part. The result of extraction has a higher precision and wider scope of application than other methods to extract central axis, such as the Voronoi diagram-based method. The path is trimmed to remove redundant paths and the polygon boundaries are scanned to further improve the surface quality of the molded part. The results show that warpage deformation of the proposed algorithm is significantly smaller than the other two methods, thus the forming precision is higher. Originality/value An improved medial axis path generation algorithm is proposed in this paper. The proposed method is applied to improve warpage deformation occurring in the SLM process. Seed point growth of distance transformation is used to extracted central axis. The result of extraction has a higher precision and wider scope of application than other methods to extract central axis, such as the Voronoi diagram-based method.


2020 ◽  
Vol 861 ◽  
pp. 159-164
Author(s):  
Chang Song Zhao ◽  
Jun Yong Wu ◽  
Fan Zhong Chu ◽  
Kai Rui Zhao ◽  
Lei Yu

Micro-structured optical film is one of the micro-optical elements and has a great market demand. This article studies the microstructured optical film formed by UV imprinting: The influence of embossing pressure on microstructure replication accuracy was explored. The larger the pressure, the better the material filling. When the pressure is 5N, the microstructure replication is complete; The relationship between the radiation intensity and warpage deformation was explored, and the decrease in the intensity of the UV light source can effectively reduce the warpage deformation; The influence of the material formula on the optical properties of the product was explored. When the oligomer content was 55%, the film had a high light transmittance. At the same time, the prepared film was subjected to an apparent inspection with good microstructure replication accuracy.Microstructured optical elements are widely used in optical fields such as semiconductors, lasers, beam shaping [1-2] and solar energy [3-5] due to their unique advantages such as small size and high performance. As a key component in many industries, it has a high market demand rate. However, the microstructure forming process is complicated, the manufacturing cost is high, and the accuracy is difficult to guarantee, which has restricted its development. With the advancement of science and technology and the increase in market demand, more and more researchers and enterprises have put their eyes on the research of preparing micro-structured optical elements.At present, the commonly used microstructures are mainly icrolens array [6-8], and the processing methods include micro-imprinting [9-10], etching [11], electron beam direct writing, and micro-injection [12], etc. This article studies the UV-curing embossing process in micro-embossing. This processing method has the advantages of fast molding, high efficiency, and environmental protection. And this process is conducive to mass production and has a broad market application prospect.In this paper, the forming process and material formulation of microstructured optical film prepared by light-cured micro-imprinting were investigated, and the microstructure morphology of the preparation was analyzed apparently.


Author(s):  
Shuai-Lin Liu ◽  
Ward Ye ◽  
Yu-Po Wang ◽  
Long-Yuan Wang ◽  
Fred Lin

Abstract In recent years, the IC industry continues to drive demand in the consumer market, and more global sales of smart-phones, smart-watches and tablets have continued to grow. In order to continuously enhance high performance computing, the consumer products pursued began to integrate HBM (High Bandwidth Memory) and AI (Artificial Intelligence) to strong and powerful mainstream market. With the shrinking use space pursued by consumer products, it is necessary to continuously develop lighter and thinner products, and under such product conditions, it seems that the risks and difficulties of advanced packaging technology will be expected. Due to the ministration application, the warpage issue is the most influential factor in the following development of packaging technology. In this paper, we would like to overcome the poor strip warpage, not only to choose the EMC material form CTE property, but to think about improving the warpage from the machine process. The residual stress generated by the molding process of thermosetting resins exerts serious influences upon the mechanical properties, so we use Laser area beam processing to reheat the EMC and use the vacuum suction to reshape the warpage deformation. In this case, we verify the laser radiation time and peak temperature control of different strip types (including molded, thermal taped and ball attached molded strip) and EMC wafer form. We succeed to improve the maximum strip warpage from 17 um to 1um; wafer form from 13um to 3.5um. This laser beam reshape technology is proven to solve the warpage significantly for high density assembly.


Author(s):  
Anh Tran ◽  
Tim Wildey ◽  
Scott McCann

Abstract Bayesian optimization is an effective surrogate-based optimization method that has been widely used for simulation-based applications. However, the traditional Bayesian optimization (BO) method is only applicable to single-fidelity applications, whereas multiple levels of fidelity exist in reality. In this work, we propose a bi-fidelity known/unknown constrained Bayesian optimization method for design applications. The proposed framework, called sBF-BO-2CoGP, is built on a two-level CoKriging method to predict the objective function. An external binary classifier, which is also another CoKriging model, is used to distinguish between feasible and infeasible regions. The sBF-BO-2CoGP method is demonstrated using a numerical example and a flip-chip application for design optimization to minimize the warpage deformation under thermal loading conditions.


Sign in / Sign up

Export Citation Format

Share Document